2022-09-13今日SZ300232股票最新净值和交易情况

2022-09-13 03:35:17 首页 > 深交所股票

心心相印o:

  2022年半导体设备行业专题研究 产业链上下游国产化同步推进,设备国产化走向新阶段

  来源:华安证券 发布时间:2022/09/09

  1 穿越周期,设备市场扩大,重要性凸显

1.1 半导体设备市场规模连续四年增长,前道设备占比提升

  全球半导体设备:周期性减弱,连续四年市场规模保持正增长历史罕见,本轮 上升周期超预期。 半导体设备是支撑电子行业发展的基石,也是半导体产业链上游环节市场空间 最广阔,战略价值最重要的一环。从整体来看,中国大陆的半导体设备行业,同全球 半导体设备行业一样,享受着本土晶圆厂扩产,地方规划重点扶持的政策福利。从 国内市场而言,供应链结构合理化和地缘政治的需求,带来了国内设备市场国产替 代的动能。因此,国产设备商享有晶圆厂扩产+国产化提速的双重增速。 根据 SEMI 2022 年 7 月中旬发布的报告预测,半导体制造设备全球总销售额预 计将在 2022 年再次突破记录达到 1175 亿美元,比 2021 的 1025 亿美元增长 14.7%, 并预计在 2023 年增至 1208 亿美元。全球半导体设备作为一个具有显著的周期性特 点的行业,将实现罕见的连续四年的快速增长。本轮的半导体设备周期在全球范围 内延续的时长超出预期。

  以产业链应用环节来划分,设备可分为前道工艺设备(晶圆制造)和后道工艺设 备(封装测试)两个大类。其中后道工艺设备还可以细分为封装设备和测试设备。设 备中的前道设备占据了整个市场的 80%-85%,其中光刻机,刻蚀机和薄膜设备是价值 量最大的三大环节,各自所占的市场规模均达到了前道设备总量的 20%以上。因此, 全球半导体设备前十名厂商之中,有多家是平台型企业,横跨多个半导体工艺环节。

  产业链庞杂,细分子行业众多,行业格局百家争鸣。 半导体产业链庞大复杂的特性,使得很难有某一家公司能够在所有设备领域做 到全覆盖。来自全球各个国家的企业共享整个市场。 从 2021 年的全球竞争格局来看,第一梯队 top5 的收入规模均在百亿规模左右 或以上,排名前 top10 的公司营收体量也要在 20 亿美元以上。对比国内设备龙头北 方华创 2021 年电子装备业务(包含集成电路业务和泛半导体业务)约为 79.5 亿元 人民币的营收,我国半导体装备行业的营收规模距行业头部厂商仍存在较大差距, 替代空间巨大。

  按照 2021 财年半导体业务收入排名,全球前五大半导体设备厂商分别为应用材 料 242 亿美元营收,ASML 约 211 亿美元营收,东京电子 171 亿美元营收,泛林半导 体 165 亿美元应收,柯磊 82 亿美元营收。分地区来看,排名前十的厂商中有五家日 本公司,四家美国公司,以及一家荷兰公司。 2021 年全球营收排名前五的设备厂商均属于前道设备的应用厂商,与前道设备 占据 80%以上的设备市场相匹配。同时,前五大厂商中有三家是平台型(应用材料, 泛林半导体,东京电子),横跨刻蚀,薄膜,清洗,离子注入等多个领域,对比来看, 国内许多公司也在横向拓展业务领域以不断突破天花板, 向平台型转型。比如,中 微公司从刻蚀及化合物半导体外延设备延展到集成电路薄膜设备;万业企业从离子 注入设备延展到其嘉芯半导体子公司,覆盖除光刻机之外的几乎全部前道大类;盛 美上海从清洗,电镀等业务逐步覆盖,炉管,沉积及其他前道品类。

1.2 新能源,AIot 推进成熟制程设备发展加速

  行业波动性成长,产业链最下游电子应用终端发生新变化,产生新需求。

  半导体设备行业呈现波动性上涨的趋势。近二十年间半导体设备的周期性正在 减弱,行业成长趋势加强。得益于各类电子终端的芯片需求,智能化,网联化,AIOT 的发展,行业规模连续四年出现大幅度的正增长。2022 年仍将维持较高增速,这在 半导体设备发展历史上极为罕见。 先进制程(5nm 以下先进制程)的扩产和研发投入变得十分巨大,同时成熟制程 的芯片需求量大大提升。根据 ASML 的财报显示,Arf 光刻机单价在 6000 万欧元左 右,EUV 光刻机单价在 1.5 亿欧元左右,而最新一代预告的 3nm/2nm 世代光刻机预计 的单价将在 3 亿欧元以上,先进制成的研发和突破成本以指数曲线的形式上升。在 先进制程未来 2nm,1nm 的发展方向愈发接近物理极限的同时,成熟制程经济效益在 不断提高,车规 MCU, 超级结 MOS,光伏 IGBT 等成熟制程芯片大量缺货,交付期延 长,使得行业重新审视成熟制程产线的经济效益,台积电也在 2022 年提出在未来三 年将成熟制程扩产 50%。我国半导体设备厂商精准卡位 12 英寸成熟制程所对应设备, 覆盖 28nm/14nm 以上节点成熟制程领域并不断完善。

  中国市场在半导体设备行业中的重要性逐步提升。 全球半导体设备市场规模 2005 年到 2007 年的 17 年间市场规模复合增速 6.9%, 对比来看,中国地区 17 年来复合增速为 20%,中国半导体设备行业过去数年一直维 持着较高的成长性。周期性弱于全球。同时,中国市场的占比从 2005 年的 4%提升到 2021 年的 28.8%,17 年间高速发展。近几年,中国半导体设备市场规模扩大继续提 速,近五年行业规模复合增速高达 35%。随着下游晶圆厂订单和验证效率的提升,预 计 2022-2025 将是半导体国产设备的放量期,高增速有望延续。

  内资晶圆厂扩产空间充足 。中国市场占比的提升,除了内资晶圆厂的不断扩产,还包括了外资和中国台湾 厂商的产能,8 英寸的万国半导体,海辰半导体,12 英寸的 SK 海力士,台积电南京, Intel,三星西安等等。内资+外资共通构筑国内市场,而内资晶圆厂的扩产诉求和国产替代诉求更加强烈。因此,对于本土产业链的国产替代层面来说,设备厂商面对 的内资产能存在更大增量空间。

  2 先进制程发展,工艺流程改进,设备迎来新需求

2.1 从衬底到芯片:工艺流程决定设备使用需求量变化

  芯片产线的精细化,自动化程度高,芯片/设备对于环境的要求高。 半导体设备处于产业链最上游环节,中游的芯片代工晶圆厂采购芯片加工设备, 将制备好的晶圆衬底进行多个步骤数百道上千道工艺的加工,配合相关设备,通过 氧化沉积,光刻,刻蚀,沉积,离子注入,退火,电镀,研磨等步骤完成前道加工, 再交由封测厂进行封装测试,出产芯片成品。 芯片的制造在极其微观的层面,90nm 的晶体管大小与流行感冒病毒大小类似。 在制程以纳米级别来计量的芯片领域,生产加工流程在自动化高精密的产线上进行, 对设备技术的要求极高。无论是设备的制造产线,还是晶圆厂的生产产线,所有芯 片的生产加工均在无尘室中完成。任何外部的灰尘都会损坏晶圆,影响良率,因此 对于环境和温度的控制也有一定的要求。在代工厂中,晶圆衬底在自动化产线上在 各个设备间传送生产,历经全部工艺流程大致所需 2-3 个月的时间,这其中不包括 后道封装所需要的时间。通常来说,晶圆厂中 的设备 90% 的时间都在运行,剩余时 间用于调整和维护。

  前道工艺步骤繁杂,工序繁多,是芯片出产过程中技术难度较大,资金投入最 多的环节。 在芯片代工厂中的芯片的工艺制备流程如下: 第一步,氧化,目的是形成绝缘层,隔离电学器件,为下一步的光刻做准备。氧 化镀膜就是将一层二氧化硅沉积到晶圆表面,再沉积一层氮化硅,与铁生锈过程十 分类似。这一步所对应的设备为氧化炉和 LPCVD,分别用于生成氧化层和氮化硅层沉 积。

  第二步,匀胶,在晶圆表面滴上光刻胶,利用旋涂技术使光刻胶均匀涂抹。主要 目的是为了方便后续通过曝光使可溶的胶体被去除,在晶圆表面上留下掩模版的图 形。 第三步,曝光,在晶圆上方放置掩模版,掩模版由透明玻璃与不透明的铬制成。 使用光刻机对准掩模版,进行紫外线曝光。这一步的目的就是通过光刻机将掩模版 上的图形转移到光刻胶上。掩模版上透光部分使得下面的光刻胶被曝光,不透光部 分下面的光刻胶则不会受影响。光刻胶被紫外线曝光的部分变得可溶解。 第四步,显影,被曝光的光刻胶可以通过专用的显影液去除。显影将光刻胶下的 氮化硅层暴露出来,掩模版上的图形得以顺利转移。

  第五步,刻蚀,这一步的目的是进一步将光刻胶上的图案进一步转移到氧化层 上(SiO2).使用腐蚀性液体将暴露出来的氮化硅层及二氧化硅层刻蚀下去,或者使 用等离子体轰击晶圆表面的方式,使得未被光刻胶覆盖的区域被刻蚀。随后去胶, 清洗表面。 第六步,沉积, 主要目的是制作介质层。再沉积一层二氧化硅使晶体管之间绝 缘。随后沉积出一层多晶硅薄膜用于制作栅极,重复涂胶,光刻,显影,刻蚀的步 骤,暴露出硅晶圆晶格,并保留多晶硅栅极。 第七步,研磨,在每一层构筑完成后,用化学腐蚀和机器研磨相结合的方式对晶 圆表面进行研磨,使表面平整。 第八步,离子注入。将 P 型或者 N 型杂质轰进刚刚刻蚀出来的半导体晶格中, 使得晶格中的原子排列发生变化,形成 PN 节。从而可以改变半导体载流子浓度以及导电类型。 第九步,退火,离子注入后也会产生一些晶格缺陷,退火环节主要是将离子注入 后的半导体放在一定温度下进行加热,使得注入的粒子扩散,恢复晶体结构,修复 缺陷,激活所需要的电学特性。

  离子注入完成之后,继续沉积二氧化硅层,然后重复涂胶,光刻,显影,刻蚀等 步骤进入另一个循环,用以挖出连接金属层(导电层)的通孔,从而使互通互联得以 是现在晶圆中。实现这一功能的是使用物理气相沉积的方式沉积金属层。上述步骤 在晶圆的生产制造中将重复数次,直到一个完成的集成电路被制作完成。 最后,将制备好的晶圆进行减薄,切片,封装,检测。完成后到的工艺流程,至 此,一颗完整的芯片制作完成。

2.2 三大重点工艺环节重要性持续提升,国产厂商百花齐放

光刻设备

  光刻机也叫曝光系统,是制造芯片的核心装备之一。光刻机用来将掩模版上的 电路图形通过曝光的方式转移到晶圆上,与相片的冲印有相似之处。 光刻曝光的过程可以简单描述为在晶圆上方放置掩模版(Mask), 使用光刻机 对准掩模版,进行紫外线曝光。通过这样的方式将掩模版上的图形转移到晶圆,为 后面的刻蚀步骤做准备。

  光刻机成本极高,先进制程光刻机的单台价值量在亿欧元以上级别,是集成电 路制造领域的核心设备。在 7nm 以下先进制程的芯片生产中,需要使用波长为 13.5nm 的极紫外光刻机。而此外最先进的 DUV 光刻机,可以达到的最先进制程水平为 28nm。 浸没式 DUV 光刻机通过在水中折射的方式,将波长为 193nm 的光源折射成等效 132nm 波长,需要经过多次曝光,并要求有极高的对准精度。目前我国在光刻机层面的国 产替代需求较大,国产替代率较低。 此外,先进制程代工厂可以选择采用多重曝光和刻蚀的方式用 DUV 光刻机实现 14nm 以下的芯片制程设计,但是所需的工序步骤,时间大幅增加,同时对精度要求 极高。为了在条件有限的情况下生产先进制程芯片,需要更多的刻蚀设备和薄膜设 备的用量。

  受限于《瓦森纳协议》,ASML 的 7nm 制程先进制程光刻机无法出口中国大陆,大 陆地区主要以采购成熟制程光刻机为主。对比 AMAT,泛林半导体,东京电子等海外 设备龙头,ASML 的大陆地区营收贡献占比明显较低。光刻机产品在前道制程领域的 战略意义较高。

  国产替代方面,中科院光电所研发出 365nm 波长的近紫外光 DUV 光刻机设备。 上海微电子已有生产前道90nm制程的光刻机,后道先进封装光刻机也已经实现出货。 上海微电子的 SSX600 系列步进扫描投影光刻机、可满足 IC 前道制造 90nm、 110nm、280nm 关键层和非关键层的光刻工艺需求,应用于 8 寸线或 12 寸线的大规模 工业生产。而后道先进封装光刻设备方面,2021 年 9 月上海微电子推出了 SSB520 先进封装光刻机,可以满足 0.8 微米分辨率的光刻工艺需求,且极限分辨率达到了 0.6 微米。可以通过升级运动、量测和控制系统等将套刻精度提升到 100 纳米以下, 并保持长期稳定性能。 SSB520 光刻机主要可以应用于高密度异构体集成领域,该光刻机的曝光视场还 提供了两种配置:53mm×66mm 和 60mm×60mm,进而可以更好地应用于异构集成超 大尺寸芯片的封装中。

  上海微电子及相关科研院所的光刻机产品以及市面上流通的二手设备一定程度 上填补了空缺,国内成熟制程的光刻设备主要依靠向海外采购。成熟制程所用的 28nm DUV 光刻机并未受到制裁影响。因此,在成熟制程芯片用量增加的大背景下,中国大 陆晶圆厂扩产的进程仍在加速推进。成熟制程芯片(MCU, 模拟类芯片,各类传感器, 功率器件,车载电子芯片等)的火热需求使代工厂和上游设备持续获得订单。

刻蚀设备

  刻蚀是半导体制造工艺中的重要环节,和光刻环节类似,主要作用也是转移掩 模版上的图形到晶圆上。是光刻之后用化学或物理方法从晶圆表面去除部分材料的 过程。 刻蚀设备按照刻刻蚀方式可以分为湿法刻蚀和干法刻蚀,但是湿法刻蚀由于刻 蚀的精度较低,在制程不断微缩的情境下,逐渐被干法刻蚀取代,在部分制程要求 不太精密的芯片上在使用湿法刻蚀。而按照蚀对象划分可以分为介质刻蚀和导体刻 蚀(导体刻蚀又可以分为金属刻蚀和硅刻蚀)。这两类刻蚀对象分别对应了 CCP 和 ICP 刻蚀设备。CCP 和 ICP 的市场规模近年来此消彼长。

  此前数年 CCP 的市场规模更大,因为其对应的介质层刻蚀和通孔挖槽的用量更 大。近年来,膜的厚度越来越薄,ICP 的能量低的特性使其具有更好的均匀性和可控 性,使用 ICP 的场景在增加。此外,为了获取尺寸更小的芯片,在使用 193nm 的 Arf 光源的前提下,理论上可以做出最小到 28nm 制程的芯片,而达到的途径是采用多重 曝光和刻蚀的方式。因此,适用于底层刻蚀的硅刻蚀的用量大幅增加,ICP 市场规模 逐渐扩大,接近 CCP 的市场规模。这两类刻蚀机基本平分了整个刻蚀设备的市场。

  而随着 3D NAND 的发展,堆叠的沉积层数量呈现加速增长态势,刻蚀设备在 3D NAND 存储厂的用量也随之激增。在 3D NAND 存储厂,刻蚀设备的投入占比达到了全 部设备的 50%之多。根据统计,近十年来,晶圆厂采购刻蚀设备的价值量占到全部设 备投资的比重在稳步提升,工艺和制程的需求使得刻蚀步骤大幅增加。

  国产厂商在刻蚀设备领域较早的实现了突破。无论是中微公司,北方华创,嘉芯 半导体等在国产线的出货量逐渐增大。中微公司的 CCP 刻蚀机,在 2020 年,已经做 到了部分存储,逻辑产线的第三大供应商,在部分产线中占有 30%以上的市占率。现阶段,刻蚀设备的整体国产化率达到了 20%,下游晶圆厂仍有持续替代的意愿和空 间,我们预计刻蚀设备的终局国产化率可以达到 70%以上,28nm 以上制程工艺覆盖 完备,几家重点公司进入国内存储+逻辑大厂产线开始加速放量, 目前国产替代正在向高深宽比刻蚀,大马士革工艺等先进制程适用的刻蚀工艺 突破。国产刻蚀设备的工艺水平正在向 28nm 以下,14nm,7nm,5nm 的方向发展。

薄膜设备

  薄膜沉积技术用于制造微电子器件上的薄膜,主要是通过物理或化学方法,将 适当化学反应源激活,并将由此形成的离子原子等吸附聚集在衬底表面,从而在衬 底之上形成一层薄薄的膜,比如二氧化硅薄膜,多晶硅薄膜,金属薄膜等。这些薄膜 辅助构成了制作集成电路的功能材料层。

  薄膜沉积设备目前是半导体前道设备中市场空间最大的细分赛道,而且随着芯 片的结构越来越复杂,3D FLASH 堆叠层数的增加,价值量占比也正在同步提升。

  薄膜沉积设备大致可以分为 CVD 化学气相沉积设备,PVD 物理气相沉积设备和 外延设备三大类。CVD 占据了接近一半的市场份额,CVD 中又可以细分为 APCVD, LPCVD, PECVD,ALD,SACVD,MOCVD 等。常压(AP)CVD 和低压(LP)CVD 的制程对 应在微米级别。等离子体 CVD(PECVD)和原子层沉积 ALD 是应用比较广泛的沉积设 备,多用于 90nm 以下各种逻辑芯片,存储芯片的生产。

  从工艺的角度来看,NAND FLASH 从 2D 转变为 3D 后,薄膜设备的比重随之增加。 尤其是适用于高深宽比的 ALD 设备,所需用量增幅更大。东京电子数据表明,薄膜 设备占比从 2D FLASH 的 18%提升到 3D FLASH 的 26%。同样,在逻辑芯片的制造中, CVD 用量从 180nm 8 寸线的 9.9 台提升到 90nm 12 寸线的 42 台,PVD 的用量从 180nm 8 寸线的 4.8 台提升到 90nm 12 寸线的 24 台。薄膜设备的用量占比预计也将进一步 提升。

  在薄膜设备的国产化进程方面,拓荆科技在 CVD 领域,北方华创在 PVD 领域都 已经有了一定的市场份额。中微公司,盛美上海,万业企业等公司的产品也正在薄 膜沉积领域布局,但薄膜设备整体的国产化率依然较低,2021 年在 10%左右,距薄 膜设备的终局国产化率远期仍有数倍的替代空间。随着长鑫二期,长存二期,中芯 京城,中芯集成,晶合集成等几大晶圆厂的陆续招标扩产,前期验证导入完成之后, 薄膜沉积设备的放量速度会变快。

  3 产业链上下游国产化同步推进,设备国产化走向新阶段

3.1 主流晶圆厂扩产幅度扩大,设备国产化进入关键期

  行业空间实质上由晶圆代工厂的扩产需求来决定,而晶圆代工厂的扩产需求又 是由下游各个电子终端,各个应用场景的需求来确定。近些年,AIOT,智能化,网联化,新能源车的发展极大程度上推动了成熟制程的代工需求。为能够覆盖成熟制程 晶圆产线的国产设备商创造了良好的市场环境。 从产业链角度入手,设备的需求反应了电子终端应用的市场情况,下游各终端 实则反映了社会科技生活的变化。而最直接的半导体设备市场空间,是晶圆代工厂 扩产数据的反应。根据晶圆厂扩产计划可以计算设备的可延展空间,可以透视未来 2-3 年的设备市场需求状况。另一方面,从长期的维度看的国内设备市场,我们可以 对比芯片自给率,芯片缺口等指标。

  根据我们测算,部分重点的内资晶圆厂(逻辑厂+存储厂+IDM)12 英寸晶圆产能 共计 77 万片每月,8 英寸晶圆产能共计 93.6 万片每月,合计折合 8 英寸晶圆产能 为 266.9 万片每月。根据现有规划统计,到 25/26 年,我国内资晶圆厂产能将达到 12 英寸共计 205.5 万片每月,8 英寸晶圆产能共计 149 万片每月,合计折合 8 英寸 晶圆产能为 540.75 万片每月。中短期 3-4 年的增量累计可达 273.9 万片 8 英寸约当 产能,平均每年对应约为 68.5~91 万片左右产能增量。这一增量构成了庞大的晶圆 代工的设备市场。 实际上,Knometa 在 2022 年发布的代工行业最新的报告上指出,全球 2021 年全 球晶圆折合 8 寸产能约为 2160 万片,2022 年全球晶圆预计产能将提升 8%达到 2332 万片/月。因此,对比相关数据,测算可得出大陆地区的近年的扩产增量将占到全球 的 36%-49%。从数量上看,我国是名副其实的全球芯片产能增量的最大市场。同时, 晶圆厂产能的扩增也为国内设备公司创造了良好的发展环境。

  除了产能的补充,更要注意的一点是新增产能的结构的变化,以及产能结构所 带来的资本开支密度的不同。在逻辑制程中,早期的 12 英寸产线对应许多 90nm 制 程的需求,而现阶段 60nm-45nm, 28nm 等更先进的成熟制程产线大幅扩产,所对应 的资本开支强度和设备用量也同步提升。当然,其中会有很多平台是通用的平台, 90nm-28nm 的需求可以灵活调整更改。 但总体而言,大陆代工厂均在朝着更高水平的制程代工的方向努力。比如中芯 国际的 14nm,FinFET 工艺,应用的平台和客户不断增加,具备多元化和市场竞争力, 在矿机芯片领域具备一定市场份额。根据公司新闻公告,长江存储的 Xtacking 技术 业内领先,其原理是将外围电路置于存储单元之上,在两片独立的晶圆上加工外围 电路和存储单元,让 NAND 获取更高的 I/O 接口速度及更多的操作功能,从而实现比 传统 3D NAND 更高的存储密度。现已实现了 128 层 NAND FLASH 的量产。

  因此,考虑到后续扩产平台工艺的提升,对设备用量的提升,国内半导体设备市 场规模也将相应增加。按照晶圆厂的产能规划拆分测算,我们统计 22 年-25 年将分 别新增约当 8 英寸的月产能 87.5 万片/月,85 万片/月,90.75 万片/月,和 61.5 万 片/月。

  同时,资本开支密度的提升,产能的提升,国产化率的提升这三重影响,使国产 设备的发挥空间将更加广阔,国内整体的市场规模会稳定在 300 亿美元左右,而国 产设备在 2025 年的中期替代空间将达到 100 亿美元以上,2022-2025 复合增速可以 达到 45%-50%。届时国内市场也将达到 300 亿美元以上的内资真实需求。我们判断这是一个中期稳态的市场规模,伴随着半导体设备市场空间波动性向上的发展趋势, 远期市场空间仍将继续扩大。而国内设备的整体国产化率将同步提升。

3.2 国产设备公司品类扩张,前道全环节多点突破

  目前阶段,国产设备厂商可以覆盖几乎全部的前道设备环节,过去两年逐渐实 现了许多前道环节的验证和导入,首次验证通过后的订单和采购将会更加快捷,国 产设备品类扩张的节奏正在提速。

  北方华创: 北方华创是国内平台型半导体设备龙头,同时在泛半导体,光电子领域,光伏及 电子元器件领域有产品布局。是综合属性最全面的半导体设备龙头之一。 集成电路业务方面,产品出货量保持高增长。公司 ICP 设备累计出货量超过 2000 腔,碳化硅长晶设备预计今年出货将超 500 台,累计出货超过千余台。公司先进制 程刻蚀机和薄膜沉积设备(14nm)已在客户端通过多道制程工艺验证,并实现量产应 用;公司的 PECVD、LPCVD、APCVD、ALD 等 CVD 产品广泛应用于下游集成电路代工、 光伏等领域。公司作为平台型国产设备龙头,将充分享受国产化趋势带来的红利。

  中微公司: 中微公司是国内刻蚀设备龙头。在 CCP 设备中国内领先,在 ICP 刻蚀设备保持 高增速。2022 年中报显示,中微 ICP 设备收入 4.13 亿元,同比增长 414.08%,CCP 设备收入 8.86 亿元,同比增长 13.98%。2022 年上半年公司共计新签订单 30.57 亿 元,同比增长 61.83%,订单方面增速持续。 最新业务进展方面,中微刻蚀设备技术水平已经进入 5nm 以下领域,同时是全 球主要的 miniled MOCVD 设备供应商,并在下一代刻蚀产品,原子层刻蚀设备,LPCVD 等其他薄膜设备加速研发,同时并购业务持续推进。

  华海清科: 华海清科是化学机械抛光(CMP)设备龙头,主营业务为提供半导体 CMP 设备, 提供 CMP 配套耗材和服务以及晶圆再生业务。公司是目前国内唯一一家为集成电路 制造商提供 12 英寸 CMP 商业机型的高端半导体设备制造商。 公司的 300X 机型可以 面向 14nm 及 128 层 NAND 等更先进制程的更高平坦度要求,在 2020 年进入长江存 储,华虹等产线验证,2020 年 9 月已通过工艺验收实现销售。晶圆再生业务也通过 多家客户验证,截至 2021 年末,公司发出商品 7.7 亿元,已发出未验收结算的 CMP 设备 69 台,未发出产品的在手订单超过 70 台,已经超过公司过去累计确认收入设 备总数 67 台。

  拓荆科技: 拓荆科技是国内 CVD 薄膜设备龙头,主要产品包括等离子体增强化学气相沉积 (PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个 产品系列,已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。 公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕 东微电子等国内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡头直 接竞争。报告期内,公司在研产品已发往某国际领先晶圆厂参与其先进制程工艺研 发。

  万业企业: 万业企业是国内离子注入机龙头,正在逐步实现从房地产企业到半导体设备平 台型企业的转型。旗下的凯世通主营离子注入设备,Compart system 主营 MFC 流量 控制计,嘉芯半导体主营成熟制程设备,包括刻蚀机、热处理、薄膜沉积、清洗机等 8 寸和 12 寸半导体新设备。嘉芯半导体项目落成后将实现年产 2450 台/套半导 体新设备和 50 台/套半导体二手翻新设备的产能。2022 年上半年公司新增集成电 路设备订单超 7.5 亿,半导体业务放量迅速。

  芯源微: 公司是国内涂胶显影领域龙头,并在清洗,后道先进封装等领域积极布局。公司 前道涂胶显影设备在 28nm 及以上工艺节点的多项关键技术方面取得突破,并可以与 主流光刻机厂商如 ASML、Cannon、Nikon 等公司的机台联机使用。在前道物理清洗领域,公司已掌握前道物理清洗机28nm工艺节点的重点技术并且成功实现国产替代, 目前公司正在积极布局前道化学清洗领域,进一步打开市场空间。后道领域,涂胶 显影设备和单片式湿法设备作为主流机型已批量应用于台积电、长电科技、华天科 技、通富微电、晶方科技、中芯绍兴、中芯宁波等国内一线大厂。

  盛美上海: 盛美上海是国内清洗设备龙头。公司经过多年持续的研发投入和技术积累,先 后开发了单片清洗、槽式清洗以及单片槽式组合清洗等清洗设备,用于芯片制造的 前道铜互连电镀设备、立式炉管设备、后道先进封装电镀设备,以及用于先进封装 的湿法刻蚀设备、涂胶设备、显影设备、去胶设备、无应力抛光设备及立式炉管系列 设备等。 公司 22 年中报显示,半年度营收为 10.96 亿元,同比增长 75.21%,公司订单及 产能同步增长。公司 2022 年 2 月获得了 29 台 Ultra Cwb 槽式湿法清洗设备的批量 采购订单, 13 台 Ultra ECP map 前道铜互连电镀设备及 8 台 Ultra ECP ap 后道先 进封装电镀设备的多个采购订单。5 月,公司与一家中国领先的先进晶圆级封装客户 签订了 10 台 Ultra ECP ap 高速电镀设备的批量采购合同,同时又推出升级版的涂 胶设备,该款设备在性能和外观进行了优化,应用于先进晶圆级封装。

  至纯科技: 至纯科技是国内清洗设备龙头,提供槽式设备及单片机设备覆盖目前国内产线 成熟工艺及先进工艺涉及的全部湿法工艺。公司提供的湿法设备可以应用在先进工 艺上,主要为存储(DRAM,3D Flash)、先进逻辑产品等。 截至 2022 年 6 月,公司新增订单总额为 23.62 亿元,同比增长 37.33%,其中半 导体制程设备新增订单 8.06 亿元。半导体订单中以清洗效果更好的单片湿法设备为 主,公司的半导体制程设备产品结构正在优化。

  精测电子: 精测电子是国内检测设备龙头,在半导体领域,公司实现了前道、后道检测全领 域的布局,子公司武汉精鸿主要负责自动检测设备(ATE)领域(存储芯片测试设备)。 目前已实现关键核心产品技术转移、国产化研发、制造、核心零部件国产化,老化 (Burn-In)产品线在国内一线客户实现批量重复订单,CP(Chip Probe,晶片探测)、 FT(FinalTest,最终测试,即出厂测试)产品目前已取得相应订单。

3.3 更深层国产化之路,设备零部件逐步国产替代

  设备国产化进程的过程中,供应链体系的安全和合理性考量会将设备国产化推 入新阶段。设备零部件环节实现国产化是未来保证半导体设备行业能够完全独立自 主的基础。 根据芯谋研究的统计,2020 年本土 8 寸及 12 寸晶圆厂所采购的国产零部件采 购量前五大零部件为,石英件 11%,射频发生器 10%,泵 10%,阀 10%,吸盘 9%。前 十大零部件占比约为 70%,半导体设备零部件的整体特点是细分市场多,零部件种类 环节多。

  一般按照功能类型来分类,设备零部件可以分为机械类,电气类,气动类,液路 类,仪器仪表类等等。基于不同设备,所需的零部件的种类和用量略有不同,但整体 所需的通用型的设备种类基本相似。

数百亿美金级别市场,国产替代仍在初期

  根据国内设备上市公司的招股书所披露的统计,半导体设备的成本 80%-90%为 原材料采购,包括机械类,电气类,仪表类等零部件。因此,假设设备行业的综合毛 利率 50%,零部件及原材料占成本的 80%,那么按照 1000 亿美金的设备市场规模来 计算,零部件的市场规模为 1000 亿美元 × 50% × 80% = 400 亿美元。假设国内 市场未来三年的稳态市场规模在全球设备市场规模的 20%-30%之间,那么国内半导 体设备零部件及原材料的市场规模为 80 亿-120 亿美元。 一方面,相关公司积极布局零部件领域,如北方华创,江丰电子,万业企业,富 创精密等在电气类,机械类,仪器仪表类等多个环节有所布局,另一方面,国产设备 厂商也有意提高自身的零部件国产供给率。如中微公司的刻蚀机零部件,拓荆科技 的 CVD 产品的零部件,华海清科的 CMP 设备的零部件国产采购比例均较高,近年来 零部件国产化的采购速率正在增加。下一阶段,零部件+原材料将继续国产化的放量, 同时也将在更加核心的元件和零部件层面突破。上述公司目前部分重要的核心零部 件仍需进口,因此国产零部件仍有在结构和体量上继续突破的空间。

  江丰电子: 公司积极布局半导体零部件业务,与部分主流国内半导体设备公司建立合作, 如北方华创、拓荆科技、芯源微、上海盛美、上海微电子、屹唐科技等,公司的各种 半导体精密零部件产品正在加速放量。2021 年公司零部件业务收入 1.8 亿元,同增 239.96%。公司的各种精密零部件产品已经广泛用于 PVD、CVD、刻蚀机等半导体设备, 在多家芯片制造企业实现国产替代,并为国产设备公司批量交货。

  万业企业: 公司通过浙江镨芯控股 Compart Systems,Compart Systems 是全球领先的流量 控制系统领域的零部件及组件的重要供应商,客户遍及国内外主流半导体设备厂商。 Compart Systems 产品用于半导体前道工艺中氧化/扩散、蚀刻和沉积等设备所需的 精确气体输送系统,主要产品包括 BTP(Built To Print)组件、装配件、密封件、 气棒总成、气体流量控制器(MFC)、焊接件等。公司积极进入国内设备公司供应链, 2021 年度营业收入约为 9.2 亿元。

  富创精密: 富创精密是国内半导体设备精密零部件龙头,其精密零部件产品可以应用于 7 纳米工艺制程半导体设备,技术水平全球领先。公司产品分为半导体设备和泛半导 体类,除了应用于集成电路设备外,还有制造显示面板、光伏产品等领域。2021 年 半导体设备业务实现收入 7.3 亿元,占总营收比例 88%。

  (本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)闻泰科技(SH600745)景嘉微(SZ300474)洲明科技(SZ300232)

沃尔小林子:

  洲明科技(SZ300232)三年跑了俩董秘一个财务总监,小林子天天减持,股价天天新低,同行最低的毛利率净利率分红率,最高的质押率库存和担保,这公司没问题才怪,祝董秘才入职没多久中报之前直接跑路

洲明科技(SZ300232):

  同花顺(300033)数据中心显示,洲明科技(300232)9月8日获融资买入1329.60万元,占当日买入金额的67.71%,当前融资余额5.38亿元,占流通市值的9.72%,超过历史70%分位水平。 融资走势表 日期融资变动融资余额9月8日627.05万5.38亿9月7日33... 网页链接

洲明科技(SZ300232):

  同花顺(300033)数据显示,2022年9月8日,洲明科技(300232)获外资卖出84.43万股,占流通盘0.10%。截至目前,陆股通持有洲明科技916.45万股,占流通股1.05%,累计持股成本11.08元,持股亏损42.71%。 洲明科技最近5个交易日上涨0.63%... 网页链接

最近发表
标签列表
最新留言